IEEE International Electron Devices Meeting (IEDM)

Event name
IEEE International Electron Devices Meeting (IEDM)
 
Event type
Event for scientific audience
 
Start date
11-12-1988
End date
14-12-1988
 
Location
San Francisco, CA, USA
Country
 
Event format Veranstaltungsformat
On Site

Publications Publikationen

Filter:
Author:  Groeseneken, G.

Results 1-6 of 6 (Search time: 0.002 seconds).

PreviewAuthor(s)TitleTypeIssue Date
1Franco, J. ; Kaczer, B. ; Eneman, G. ; Mitard, J. ; Stesmans, A. ; Afanas'ev, V. ; Kauerauf, T. ; Roussel, Ph.J. ; Toledano-Luque, M. ; Cho, M. ; Degraeve, R. ; Grasser, T. ; Ragnarsson, L.-A. ; Witters, L. ; Tseng, J. ; Takeoka, S. ; Wang, W.-E. ; Hoffmann, T.Y. ; Groeseneken, G. 6Å EOT Si<inf>0.45</inf>Ge<inf>0.55</inf> pMOSFET with optimized reliability (V<inf>DD</inf>=1V): Meeting the NBTI lifetime target at ultra-thin EOTKonferenzbeitrag Inproceedings2010
2Franco, J. ; Wu, Z. ; Rzepa, G. ; Vandooren, A. ; Arimura, H. ; Ragnarsson, L. -A ; Hellings, G. ; Brus, S. ; Cott, D. ; De Heyn, V. ; Groeseneken, G. ; Horiguchi, N. ; Ryckaert, J. ; Collaert, N. ; Linten, D. ; Grasser, T. ; Kaczer, B. BTI Reliability Improvement Strategies in Low Thermal Budget Gate Stacks for 3D Sequential IntegrationKonferenzbeitrag Inproceedings 2018
3Franco, J. ; Putcha, V. ; Vais, A. ; Sioncke, S. ; Waldron, N. ; Zhou, D. ; Rzepa, G. ; Roussel, Ph. J. ; Groeseneken, G. ; Heyns, M. ; Collaert, N. ; Linten, D. ; Grasser, T. ; Kaczer, B. Characterization of oxide defects in InGaAs MOS gate stacks for high-mobility n-channel MOSFETs (invited)Konferenzbeitrag Inproceedings 2017
4Franco, J. ; Kaczer, B. ; Waldron, N. ; Roussel, Ph.J. ; Alian, A. ; Pourghaderi, M. A. ; Ji, Z. ; Grasser, T. ; Kauerauf, T. ; Sioncke, S. ; Collaert, N. ; Thean, A. ; Groeseneken, G. RTN and PBTI-induced time-dependent variability of replacement metal-gate high-k InGaAs FinFETsKonferenzbeitrag Inproceedings2014
5Franco, J. ; Kaczer, B. ; Eneman, G. ; Roussel, Ph.J. ; Grasser, T. ; Mitard, J. ; Ragnarsson, L.-A. ; Cho, M. ; Witters, L. ; Chiarella, T. ; Togo, M. ; Wang, W.-E ; Hikavyy, A. ; Loo, R. ; Horiguchi, N. ; Groeseneken, G. Superior NBTI reliability of SiGe channel pMOSFETs: Replacement gate, FinFETs, and impact of Body BiasKonferenzbeitrag Inproceedings2011
6Franco, J. ; Kaczer, B. ; Roussel, Ph. J. ; Mitard, J. ; Sioncke, S. ; Witters, L. ; Mertens, H. ; Grasser, T. ; Groeseneken, G. Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO<inf>2</inf>/HfO<inf>2</inf> pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacksKonferenzbeitrag Inproceedings2013